史上最全芯片设计合集!(模拟、数字、FPGA、AI全包括)

  1. ASIC前后端设计经典的细节讲解

  2. IC大牛10多年的设计分享:数字典型电路知识结构地图及代码实现

  3. 关于华为海思,这篇文章值得一看

  4. 俄国没有高端芯片,为什么却能造出一流武器?

  5. 芯片春秋·ARM传

  6. 印度芯酸往事

  7. 学习、积累、交流-IC设计高手的成长之路

  8. 女生学微电子是一种什么体验?

  9. MIPS架构开放了,10天设计一款完全免费的MIPS处理器(附源码)

  10. 性能之殇:从冯·诺依曼瓶颈谈起

  11. AI芯片设计与开发概览

  12. AI 芯片和传统芯片有何区别?

  13. 一个资深工程师老王关于AI芯片的技术感悟

  14. 隔隔壁老王:AI芯片与她怎么选?

  15. 终于有人把云计算、大数据和人工智能讲明白了!

  16. 尺寸减半、功率翻番!——氮化镓技术的现在和未来

  17. 逻辑综合 Design Compiler 资料大全

  18. 集成电路制造技术简史

  19. 版图中Metal专题——线宽选择

  20. 麒麟980内核照片:NPU在哪呢?

  21. 有哪些只有IC工程师才能get到的梗?

  22. 为什么7nm工艺制程这么难?从7nm看芯片行业的“贫富差距”

  23. 什么是台积电的SoIC?

  24. RISC-V打入主流市场的诸多问题

  25. RISC-V架构有何优势?

  26. 关于RISC-V 终于有人讲明白了!

  27. ASIC低功耗设计实例分析及书籍推荐

  28. ASIC设计学习总结之可测性设计及书籍推荐

  29. ASIC设计学习总结之静态时序分析概要及书籍推荐

  30. ASIC设计学习总结之工具及书籍文档

  31. 小芯片大价值 | ASIC工程师如此值钱到底为什么?

  32. 芯片面积估计方法简介

  33. 自主研发通信芯片有多难?通信行业老兵告诉你,没那么简单!

  34. RISC-V精简到何种程度?能省的都省了!

  35. 多核CPU设计及RISC-V相关资料

  36. 时序设计与约束资料汇总

  37. 模拟版图讲义

  38. GDSII转DEF的flow简介

  39. 机器学习将越来越依赖FPGA和SoC

  40. Verilog基本功之:流水线设计Pipeline Design

  41. 先进封装发展趋势分析PPT

  42. 先进封装发展现状分析PPT

  43. 可测试性设计与ATPG

  44. 麒麟980是如何诞生的?敢于失败,勇于尝试!(附:华为早期型号处理器研发过程)

  45. IC模拟版图设计讲义

  46. Verilog CPU设计实例

  47. CPU、GPU 和 TPU 都是如何工作的?有什么区别?TPU为什能碾压GPU?

  48. 流行数十年的主流芯片架构正在悄然巨变

  49. 与IC设计产业相比,EDA产业发展的难处有哪些?

  50. 千兆以太网 TCP, UDP协议, FPGA实现

  51. SoC功能仿真验证技术分享

  52. 对验证的一些理解

  53. IC Layout 脚本分享

  54. 异构整合,半导体下一个关键

  55. 用Python编写FPGA以太网MAC(附源码下载方式

  56. 用python进行机器学习

  57. 直接产生verilog的testbench的python脚本

  58. 干货!ASIC牛人之经典总结

  59. ASIC前后端设计经典的细节讲解

  60. 资料分享|时序分析

  61. Verilog基本电路设计(包括:时钟域同步、无缝切换、异步FIFO、去抖滤波)

  62. 128点 FFT verilog代码分享

  63. FPGA正变成“瑞士军刀”, 越来越像SoC

  64. 数字前端及FPGA设计相关书目泛读及点评

  65. 数字IC设计学习流程

  66. 防止毛刺的时钟切换电路的设计思想

  67. 函数发生器实现方法简述

  68. 用FPGA实现简单的UDP/IP通信(采用纯硬件语言,非软核)

  69. 数字集成电路设计入门 --从HDL到版图

  70. 同步器的设计

  71. 数字IC工程师的技能树

  72. 微电子树

  73. IC设计完整流程及工具简述

  74. IC芯片设计及生产流程

  75. IC 芯片的成本从哪里来?

  76. 说说芯片设计这点事

  77. 关于IC设计的想法

  78. 数字IC设计的完整流程(非常详细!)

  79. 数字IC Design技术全局观(110页PPT!)

  80. ASIC设计中各个阶段需要注意的问题

  81. 深入浅出谈谈Setup和Hold

  82. 大话setup time与hold time

  83. 静态时序分析中的setup和hold存在负值的问题

  84. 关于静态时序分析STA的切入点及方法

  85. 静态时序分析(STA)基础与应用

  86. 组合逻辑设计中的毛刺现象

  87. 数字IC设计工程师的发展前景如何?

  88. 一个合格数字IC设计工程师的知识结构

  89. RS编解码Verilog代码RS(255,247)

  90. RS(255,239)编解码算法,verilog代码以及详细讲解

  91. 非常详细的Verilog讲义教程,共472页

  92. 一个简单的8位处理器完整设计过程及verilog代码

  93. 网友经验分享: Verilog设计注意

  94. 关于同步与异步时序的Verilog一例

  95. 半导体、微电子专业英语词汇汇总

  96. 以DAC为例介绍SpectreVerilog数模混合电路仿真方法

  97. IC设计与验证工程师友谊的小船说翻就翻

  98. 数字IC设计基本流程和所使用的工具

  99. 国外的数字IC面试题(非常详细,有答案)

  100. 读懂用好TimingReport

  101. 异步FIFO设计(非常详细,图文并茂,值得一看!)

  102. 平行宇宙的追逐--异步FIFO控制器的设计

  103. 异步FIFO为什么使用格雷码

  104. 数字IC设计工程师笔试面试经典100题(1~50)

  105. 数字IC设计工程师笔试面试经典100题(51~100)

  106. 同步/异步设计及metastability

  107. 为什么越来越多的数据中心使用 FPGA ?

  108. 基于FPGA的数字识别的实现

  109. FPGA设计中遇到的奇葩问题之“芯片也要看出身”

  110. FPGA就像是一张精密的画布 - DSP 专家给你一个选择 FPGA 的理由

  111. 聊聊FPGA/CPU/PCIE/Cache-Coherency/CAPI

  112. FPGA是如何实现30倍速度的云加速的?都加速了哪些东西?

  113. 一文了解 FPGA 发展之路

  114. 【干货】腾讯云FPGA的深度学习算法

  115. 云中的机器学习:FPGA 上的深度神经网络

  116. 网友吐槽:9年FPGA工作总结,苦海无涯,穷逼多

  117. FPGA数字电路设计经验分享(干货!)

  118. 干货!基于FPGA之低速协议设计实验手稿及源码

  119. FPGA设计高级进阶

  120. CRC循环冗余校验的原理与算法及FPGA实现

  121. 关于FPGA设计仿真和硬件实测不一致问题的讨论

  122. 如何扩展FPGA的工作温度范围

  123. 如何用单个 Xilinx FPGA 芯片数字化数百个信号?

  124. 一个FPGA工程师的个人工作经历总结

  125. 让 FPGA 视觉功能大众化

  126. FPGA电源简介

  127. FPGA实现除法运算

  128. 利用基于FPGA的模糊控制器控制蔗糖提取

  129. 利用 Artix-7 FPGA 设计高性能 USB 器件

  130. FPGA应用文章:采用 Zynq SoC 测试新型存储器技术芯片

  131. 基于XILINX的FPGA的AES 128bit加解密算法

  132. 利用Xilinx FPGA实现高效并行实时上采样

  133. FPGA实战演练逻辑篇(1)-FPGA与ASIC,FPGA与CPLD,VERILOG与VHDL

  134. FPGA实战演练逻辑篇(2)-FPGA应用领域及优势,FPGA开发流程

  135. FPGA实战演练逻辑篇(3)FPGA板级电路设计五要素

  136. 基于ARM的CRC算法和基于FPGA的算法性能比较

  137. 如何防止基于FPGA的项目误入歧途

  138. Zynq片内XADC应用笔记

  139. Zynq器件时钟子系统介绍

  140. 建立及保持时间、建立及保持余量的理解

  141. 软核MicroBlaze的C编程经验及技巧

  142. Tcl在Vivado中的应用

  143. Vivado使用详细介绍1:创建工程,编写代码,行为仿真,Testbench

  144. Xilinx Vivado的使用详细介绍(2):综合、实现、管脚分配、时钟设置、烧写

  145. Vivado的使用介绍3:使用IP核

  146. Xilinx FPGA入门连载2:Modelsim SE 10.1安装

  147. Xilinx FPGA入门连载4:ISE中使用notepad++的关联设置

  148. Xilinx FPGA入门连载6:ISE与Modelsim联合仿真之关联设置

  149. Xilinx FPGA入门连载7:新建工程

  150. Xilinx FPGA入门连载8:Verilog源码文件创建与编辑

  151. Xilinx FPGA入门连载9:Verilog语法检查

  152. Xilinx FPGA入门连载10:Modelsim仿真验证

  153. Xilinx FPGA入门连载11:PWM蜂鸣器驱动之功能概述

  154. Xilinx FPGA入门连载12:PWM蜂鸣器驱动之引脚分配

  155. Xilinx FPGA入门连载13:PWM蜂鸣器驱动之综合、实现与配置文件产生

  156. 跟着我从零开始入门FPGA(一周入门系列):第一天:Verilog语法

  157. 跟着我从零开始入门FPGA(一周入门系列):第二天:组合逻辑设计

  158. 跟着我从零开始入门FPGA(一周入门系列):第三天:时序逻辑设计

  159. 跟着我从零开始入门FPGA(一周入门系列):第四天:

  160. 跟着我从零开始入门FPGA(一周入门系列):第五天:阻塞和非阻塞

  161. 跟着我从零开始入门FPGA(一周入门系列)-第六天:有限状态机

  162. 跟着我从零开始入门FPGA(一周入门系列):第七天:设计一个只有4条指令的CPU

  163. FPGA入门连载一:0和1——精彩世界由此开始

  164. FPGA入门连载二:Verilog/VHDL语法学习的经验之谈

  165. FPGA入门连载三:表面现象揭秘——逻辑关系

  166. FPGA入门连载四:内里本质探索——器件结构

  167. FPGA入门连载五:第一个工程实例

  168. Vivado使用详细介绍2综合实现管脚分配时钟设置烧写

  169. 基于FPGA的DDR3多端口读写存储管理设计

  170. 在低成本FPGA开发板上实现Oberon系统

  171. 全可编程抽象化:你的编程你做主

  172. XILINX FPGA FIFO使用技巧

  173. 智能视觉系统中如何处理多图像传感器?

  174. FPGA时钟和复位电路设计

  175. FPGA设计,视时序为一切

  176. 在FPGA设计中,时序就是全部

  177. 利用FPGA对大规模MIMO信道进行特性描述

  178. 如何将PetaLinux移植到Xilinx FPGA上

  179. 关于FPGA设计仿真和硬件实测不一致问题的讨论

  180. FPGA适合用在哪儿?OpenCL,C,和C++语言对FPGA和全SoC有什么用?

  181. 入门贴:FPGA中的INOUT接口和高阻态

  182. 科普:关于处理器你所需要知道的一切

  183. 科普:海思CPU的设计制造过程,看了以后真觉得华为不容易

  184. 网友最爱看的处理器IC设计相关图书

  185. 干货:数字IC后端设计全局观--数字后端做什么从RTL到GDS(137页PPT)

  186. 科普:海思CPU的设计制造过程,看了以后真觉得华为不容易

  187. CPU DIE Photo 大全

  188. 普及贴:CPU的发展历程(X86篇)

  189. 一个从刚入大学就励志做CPU设计到毕业后如愿以偿的故事!

  190. 终于讲清楚了,看完这篇你也可以设计CPU了

  191. 科普:GPU是如何工作的?与CPU、DSP有什么区别?

  192. 在CPU IP授权上,ARM 是怎样战胜MIPS的?

  193. 从沙子到芯片,看看CPU是如何制造出来的

  194. 深度分析国产龙芯新架构CPU

  195. CPU诞生鲜为人知的故事:首款8位CPU并不是Intel的,仅比4004晚了两个月

  196. 基于RISC-V架构的开源处理器及SoC研究综述

  197. RISC-V与DSA!计算机架构宗师Patterson与Hennessy 演讲实录

  198. RISC-V资料大全中文版!

  199. 科普:ARM与X86 CPU架构对比区别

  200. 从零到精通--处理器(CPU)的设计之路

  201. 说一说CPU与GPU的区别

  202. 自己动手设计专用处理器

  203. 励志!他设计了先进的指令集,申请三项专利,并设计出了自己的CPU!

  204. 分享|开源GPU,RTL源代码+验证环境+文档

  205. Analog IC 难在哪里,结构?参数?版图?系统?(最新更新)

  206. 从一篇Datasheet中能学到什么?

  207. IEEE JSSC论文分享:30GHz low-flicker noiseOscillator

  208. 彻底厘清振荡器中Flicker Noise问题--IEEE TCAS-II(2019)论文分享

  209. 全球顶尖芯片专家揭秘【低功耗芯片设计】真相

  210. 关于低功耗、低电压的bandgap电路

  211. Low power RTL 设计优化,同等工艺下让你的设计功耗更低!

  212. 两篇关于RF PA 的博士论文

  213. PLL经典讲义

  214. 神作:带宽!

  215. 功率谱密度

  216. SerDes概述

  217. 深入浅出聊抖动(Jitter)

  218. 动态失调消除的方法(模拟IC设计黑科技!)

  219. 先进制程工艺集成电路ESD电路如何设计?

  220. ESD讲义

  221. 成为一个资深Analog/RF IC Designer 需要怎样的经历?

  222. 功率半导体(VDMOS,IGBT,TVS)讲义

  223. 相比CPU,高性能模拟器件更担心被禁运,那么研发难点在哪儿?

  224. RF PA 那些事

  225. AACD 2017 Hybrid ADCs 资料大全

  226. 拉扎维16年写的-TSPC Logic

  227. 功率半导体器件基础(Baliga)(1085页)

  228. 模拟电路&模拟IC设计

  229. 模拟数字产品开发流程

  230. SPICE简史

  231. 干货分享:测量自己的心电图(从理论到电路)

  232. 好的模拟IC工程师应该具有的素养

  233. 模拟IC设计领域的经典之作

  234. 是否需要模拟后仿真?

  235. 极点零点之我见

  236. 六本经典模拟IC书籍精彩评论及总结

  237. 模拟设计的100条圣经

  238. 模拟电路学习入门的建议

  239. 模拟IC流片经验分享

  240. 模拟IC年薪几十万师兄的模电学习经历

  241. 想成为一名模拟ic设计师在本科期间应该做哪些准备?

  242. 模拟电路设计的九重进阶

  243. AnalogIC难在哪里,结构?参数?版图?系统?

  244. 模拟集成电路设计第二讲:传输函数,零极点的形成及时域响应

  245. 我还要不要继续学习模拟设计--一个跨行硕士的疑问

  246. 如何学习模拟IC设计

  247. 模拟大牛谈模拟工程师身价及发展方向

  248. 模拟电路应知应会200问

  249. 模拟后仿真的几种做法以及优缺点

  250. 关于模拟地与数字地很意思的解释

  251. 干货!深入浅出射频模拟电路设计经典讲义

  252. 伏模之路--模拟电路学习感悟

  253. 模拟IC设计真的很难学吗?

  254. 模拟IC正向设计流程总结

  255. 模拟学习感悟与总结

  256. 模拟滤波设计讲义

  257. 拉扎维模拟CMOS集成电路设计讲义Part3

  258. 拉扎维模拟CMOS集成电路设计讲义Part2

  259. 模拟学习感悟与总结


(0)

相关推荐

  • 【科普】天天都在说芯片,关于芯片的分类你了解吗?

    这几年,在中兴和华为事件的推动下,关于"芯片"的话题数不胜数,但凡美国动作一次,芯片话题的热度就提高一分,天天有人聊着芯片.芯片技术,喊着要发展芯片,然而你真的了解芯片是什么吗? ...

  • 关于硬件模拟的一点感知

    设计一般以一个规范开始, 这个规范能够约定最终产品应该做什么, 并从根本上推动整个开发周期.在开发的早期阶段, 第一个任务是使用规范来验证正在开发的设计是否正确无误的.然后当设计的所有部分组装成一个完 ...

  • 基于FPGA的网口通信设计(完结)

    目前,所有相关的<基于FPGA的网口通信设计>都更新完毕,之前答应大家5月底完成更新,正好趁着这个周末完成了更新.      详细目录如下: 基于FPGA的网口通信设计 例说七层OSI参考 ...

  • 史上最全芯片设计合集!(3月30日更新)(模拟、数字、FPGA、AI全包括)

    精品课程:先进制程工艺集成电路ESD电路如何设计? 精品课程:集成电路闩锁效应与工程应用 格芯成都厂为何会停摆? 半导体的过去.现在和未来 大型IC设计中心的IT环境 芯片设计中电迁移和IR压降的挑战 ...

  • 史上最牛照片合集!07

    史上最牛的火车 史上最牛的摄影师 史上最牛的拖车 史上最牛的自行车 史上最牛的表情 史上最牛的开车方法 史上最牛的射门 史上最牛的汽车 央上最牛的红绿灯

  • 史上最牛照片合集!06

    你永远不要抵估人类的极限,没有最多只有更多! 没有最牛只有更牛!

  • 史上最牛照片合集!05

    物尽其用大开眼界啊 这么小的一辆皮卡 竟然可以承载这么多 师傅,确定不会翻车么 恐怕没有人敢走你右边吧 头大头大头大 炸毛炸毛炸毛 究竟是怎么做到的 装车是门技术 开车需要勇气 劳动人民充满了智慧啊 ...

  • 史上最牛照片合集!03

    车尾气污染环境,还是我这样好,健康环保 我家的狗除了看门,还能载我去旅游 四女一男,居然可以做出这个动作!厉害! 这脖子快赶上长颈鹿了 慈悲为怀 老当益壮,大师好功夫! 小时候是您背我,老了换我背您. ...

  • 史上最牛照片合集!02

    我不信自行车能载得动这么多东西!! 这么多电线,小伙子你要小心啊 交警同志,我真的没超载! 小姑娘太厉害了!普通人学不来啊 印度阿三的阅兵 孩子要生俩,左右各一刚刚好! 四人自行车,好神奇,从没见过! ...

  • 史上最牛照片合集!01

    这个男人身上的担子,比我们看到的还要重 这才是翻车啊!快来人扶一下啊 一家十口,计划生育很重要! 走咯!上学去! 左手两个右手两个,头上再来五个,我就是这么厉害! 一头顶十二个,完全没问题! 胆子再大 ...

  • 三星S10 中国发布会最全上手合集:8 大新品全覆盖!

    将「雷科技Lite」收藏为我的小程序,不再错过精彩内容 2 月 28 日 18 点 30 分,三星在乌镇大剧院举行了 Galaxy S10 系列中国发布会,此时距离 S10 国际发布会结束仅仅一周的时 ...

  • 转载 史上最全穴位合集,1分钟学会如何自治

    太阳穴 位于耳廓前面,前额两侧,外眼角延长线的上方. 治疗失眠 听宫穴 位于面部,耳屏前,下颌骨髁状突的后方,张口时呈凹陷处. 耳鸣耳聋 印堂穴 位于人体额部,在两眉头的中间. 治疗鼻炎 风池穴 位于 ...