Vscode中自动生成Verilog/VHDL模板以及代码自动联想