【精品博文】使用tcl命令保存vivado工程成.tcl文件

把vivado工程保存成.tcl文件,有两种方法,分别是:

① 使用tcl命令:在打开的vivado工程中,在tcl命令输入行,输入如下命令,write_project_tcl { d:/work/system.tcl},即可把工程保存成.tcl文件。其中d:/work/是.tcl文件保存的路径,可根据实际使用的需要更改,system.tcl是保存的文件名。

② 使用GUI操作:在打开的vivado工程中,依次点击 File —》 Write Project to Tcl ,在Write Project To Tcl 界面设置相关参数(主要是tcl文件的保存路径和文件名),即可把工程保存成.tcl文件。

使用.tcl文件恢复vivado工程,需要注意以下事项:

① 首先要打开.tcl文件,查看此.tcl文件是用哪个版本的vivado创建的,然后必须使用同样版本的vivado软件来运行此.tcl文件。因为不同版本的vivado用的IP核可能不同,所以vivado版本必须先一致。

② 查看.tcl文件中的NOTE,把NOTE中提到的全部文件找到,并按相关提示修改.tcl文件中相关文件的路径为当前路径。

使用.tcl文件恢复vivado工程,有以下两种方法:

① 使用tcl命令:启动vivado,在tcl console下,用cd命令将工作路径指定到目标路径,例如 cd d:/work/vivado_Project,在此路径下保存有.tcl文件,然后输入TCL命令 source ./system.tcl,即可完成恢复vivado工程。

②启动vivado,在vivado界面tools下,运行run tcl script,路径指到system.tcl的保存路径即可。

可以使用pwd命令来查看当前路径。

(0)

相关推荐

  • 用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

  • Vivado 2021ML版和Modelsim的安装,联合仿真及库编译

    新版软件即使可能存在一些BUG,但对于喜欢折腾的人来说是难以抵抗的,Vivado 2021.1的ML版已体验多天,目前没发现啥BUG,倒是编译时间的确减少了. Vivado 2021.1 ML版安装 ...

  • Modelsim安装,及其Vivado2021库编译

    FPGA设计,仿真省不了,下面是Modelsim的安装和库编译,以及在vivado中的设置,之后在vivado中直接调用Modelsim进行仿真.需要安装文件的请给"软硬件技术开发" ...

  • 在Vivado下利用Tcl实现IP的高效管理

    在Vivado下,有两种方式管理IP.一种是创建FPGA工程之后,在当前工程中选中IP Catalog,生成所需IP,这时相应的IP会被自动添加到当前工程中:另一种是利用Manage IP,创建独立的 ...

  • synplify | 基础操作

    AriesOpenFPGA 记录FPGA学习经验,提供FPGA共享开源资料,主要的教程有VHDL,Verilog等,同时会更新一些控制板原理图的设计. 46篇原创内容 公众号 基本介绍 Synplif ...

  • 一文读懂Tcl在Vivado中的应用

    原标题:[Vivado使用误区与进阶]Tcl在Vivado中的应用 Xilinx的新一代设计套件Vivado相比上一代产品ISE,在运行速度.算法优化和功能整合等很多方面都有了显著地改进.但是对初学者 ...

  • (4条消息) hdfs dfs常用基本命令

    hdfs dfs 使用命令 ,路径用/project/test/替代 例如 查询前几行样本数据: hdfs dfs -cat /project/test/test.txt | head -17 查询后 ...

  • 【精品博文】MATLAB图形保存引发的猜想

    使MATLAB研究数字图像处理,经常需要将得到的图像保存到电脑中,以便后续使用.今天在使用subplot函数分割窗口显示多幅图像时发现一个问题,用鼠标拖拽figure对象,竟会使得保存的图像呈现不同的 ...

  • 【精品博文】JTAG模式下Vivado SDK运行时的奇怪报错

    问题描述下载elf完毕后,弹出如图1所示的消息框,提示软件运行出现问题.软件运行结果却是OK的,比如我这里VGA接口就能够正常显示图片,如图2所示.此外,在Debug模式下,也不会报该错误. 图1 全 ...

  • 【精品博文】FPGA PS可编程重配置与rbf文件

    挖宝 学习如何简化水 .燃气.热量计 量应用设计 长摁识别 今天跟软件那边配合,了解到需提供rbf文件.就上网了解了下rbf文件的生成方式. 用quartus生成正确的rbf文件.去Assignmen ...

  • 【精品博文】Vivado中IP的使用方法

    【精品博文】Vivado中IP的使用方法

  • 【精品博文】linux make命令输出高亮

    最近调试代码,make 输出信息的时候 error .warning 等信息都是和普通信息一样白色打出来的,和普通信息一样很难区分.每次就要在茫茫多的输出信息中找那个小小的error 才看是哪一行出了 ...

  • 【精品博文】vivado 多周期路径设置

    设置多周期路径约束的目的:放松该路径时序要求,便于优先满足其他路径的布线,也有可能减少编译时间.默认情况下,VIVADO时序分析对应的是单个时钟周期.对于一些特定的逻辑路径显得不太合适,过于严格了. ...

  • 【精品博文】vivado中几种仿真

    关于BSP--BSP全称board support package,一般翻译为板级支持包,它主要是在系统上电后进行一些基本的初始化,BSP一般是和特定的硬件平台以及操作系统相关的.在大多数情况下,BS ...

  • 【精品博文】Vivado中使用逻辑分析仪ILA

    一个双肩背包 有多难? 戳一下试试看! →_→ 长摁识别 FPGA综合出来的电路都在芯片内部,基本上是没法用示波器或者逻辑分析仪器去测量信号的,所以xilinx等厂家就发明了内置的逻辑分析仪.在viv ...

  • 【精品博文】Vivado中综合实现和出bit文件

    赢一个双肩背包 有多难? 戳一下试试看! →_→ 长摁识别 接上一节的把IP搭建成原理图,这节说下综合实现和出bit文件. 各Block都搭建完成后,选中这个bd右键,Generate Output ...