SystemVerilog随机控制和随机函数
一、随机序列
产生事务序列的另一个方法是使用SV的randsequence
结构,这对于随机安排组织原子测试序列很有帮助。
initial begin
for(int i = 0; i < 15; i++) begin
randsequence(stream)
stream: cfg_read := 1 | //权重分布
io_read := 2 |
mem_read := 5;
cfg_read : {cfg_read_task;} |
{cfg_read_task;} cfg_read;
io_read : {io_read_task;} |
{io_read_task;} io_read;
mem_read : {mem_read_task;} |
{mem_read_task;} mem_read;
endsequence
end
end
还可以使用randcase
来建立随机决策树,但是没有变量可供追踪调试。
initial begin
int len;
randcase
1: len = $urandom_range(0,2);// 10% : 0,1,2
8: len = $urandom_range(3,5);// 10% : 0,1,2
1: len = $urandom_range(6,7);// 10% : 0,1,2
endcase
$display("len=%0d", len);
end
randsequence
和randcase
是针对轻量级的随机控制的应用,可以通过定义随机类取代上述随机控制的功能,并且由于类的继承性使得在后期维护代码时更加方便。randsequence
的相关功能在协调激励组件和测试用例时可能会用到,而randcase
则对应着随机约束中的dist
权重约束和if-else
条件约束的组合。
二、随机函数
随机函数pre_randomize()
和post_randomize()
- 有时需要在调用
randomize()
之前或之后立即执行一些操作,例如在随机前设置类例的一些随机变量(上下限、条件值、权重),或者在随机化之后需要计算随机数据的误差、分析和记录随机数据等。 - 如果某个类中定义了
pre_randomize()
和post_randomize()
函数,那么对象在执行randomize()
之前或之后会分别执行这两个函数。
随机数函数
- SV提供了一些系统随机函数,可以调用来返回随机数值,如
$random()
,$urandom()
,$random_range()
。
随机化个别变量
- 在调用
randomize()
时可以传递变量的一个子集,这样只会随机化类里的几个变量。 - 只有参数列表里的变量才会被随机化,其他变量会被当做状态变量而不会被随机化。
- 所有的约束仍然保持有效。
- 只针对类里所有被指定或者没有被指定
rand
的变量都可以作为randomize()的参数而被随机化。
赞 (0)